HardwareNews

La ricerca Intel punta a mille miliardi di transistor entro il 2030

Nuovi materiali e packaging 10 volte più densi

Nuovi materiale e packaging tridimensionale: Intel punta all’obiettivo “One Trillion” (mille miliardi) di transistor in un solo package entro il 2030. Una serie di avanzamenti che manterranno lo sviluppo tecnologico in linea con la legge di Moore. Con densità dieci volte maggiori e anche un materiale ultrasottile spesso solo tre atomi.

Intel punta a “One Trillion”: mille miliardi di transistor entro il 2030

Durante l’IEEE International Electron Devices Meeting (IEDM) 2022, Intel ha annunciato un programma ambizioso per questo decennio, proprio per il 75esimo anniversario del transistor. L’azienda infatti punta a mettere sul campo diverse innovazioni tecnologiche, che permetteranno di avere la potenza necessaria a gestire l’enorme quantità di dati e la diffusione sempre maggiore di strumenti di intelligenza artificiale.

Gary Patton, Intel vice president e general manager, Components Research and Design Enablement, spiega: “A settantacinque anni dall’invenzione del transistor, l’innovazione che guida la legge di Moore continua a soddisfare la crescente domanda di potenza di calcolo. All’IEDM 2022 Intel ha presentato non solo possibili sviluppi futuri della ricerca ma anche risultati concreti, entrambi necessari per abbattere le barriere attuali e future, soddisfare questa domanda e mantenere sempre attuale la Legge di Moore”.

Intel investimenti europa

Il Components Research Group di Intel mette sul piano un’altra serie di novità per il prossimo decennio, dopo aver presentano il silicio allungato (“strained silicon”), il gate metallico Hi-K e il FinFET negli ultimi anni.

Tra le novità tecnologiche il packaging 3D hybrid bonding, che ermette una densità di interconnessione di 10 volte superiore, arrivando a chip quasi monolitici. Inoltre l’azienda investe anche su materiali “2D” super sottili per ospitare sempre più transistor su un singolo chip. In particolare, usando un materiale di soli tre atomi di spessore invece potrà sostituire il Silicio.

L’azienda ha inoltre presentato diverse novità che potrebbero portare innovazioni importanti nei prossimi anni. Come i condensatori ferroelettrici impilati che possono essere utilizzati per costruire FeRAM su un die logico. E poi Intel sta lavorando a transistor “Che non dimenticano”, conserveando i dati anche queano l’alimentazione è spenta. Un passo avanti per ottimizzare la computazione anche dal punto di vista energetico.

Potete approfondire queste novità sul sito di Intel.

Da non perdere questa settimana su Techbusiness

✒️ La nostra imperdibile newsletter Caffellattech! Iscriviti qui 
 
🎧 Ma lo sai che anche Fjona ha la sua newsletter?! Iscriviti a SuggeriPODCAST!
 
📺 Trovi Fjona anche su RAI Play con Touch - Impronta digitale!
 
💌 Risolviamo i tuoi problemi di cuore con B1NARY
 
🎧 Ascolta il nostro imperdibile podcast Le vie del Tech
 
💸E trovi un po' di offerte interessanti su Telegram!

Stefano Regazzi

Il battere sulla tastiera è la mia musica preferita. Nel senso che adoro scrivere, non perché ho una playlist su Spotify intitolata "Rumori da laptop": amo la tecnologia, ma non fino a quel punto! Lettore accanito, nerd da prima che andasse di moda.

Ti potrebbero interessare anche:

Lascia un commento

Il tuo indirizzo email non sarà pubblicato. I campi obbligatori sono contrassegnati *

Back to top button